In Verilog, what does '2'b??' represent?
What is a SystemVerilog assertion?
In SystemVerilog, what is the primary purpose of the bind directive?
In SystemVerilog assertions, what does the sequence construct specify?
When is the mailbox data type typically used in SystemVerilog?
What is the primary purpose of using virtual interfaces in SystemVerilog?
In SystemVerilog, what does the `fork-join` construct facilitate?
fork
// Parallel block 1
// Parallel block 2
join
In SystemVerilog, what is the purpose of the following code segment?
module MyModule #(parameter WIDTH = 8) (
input [WIDTH-1:0] data_in,
output [WIDTH-1:0] data_out
);
// Module logic here
endmodule
What does the following SystemVerilog code segment do?
always_ff @(posedge clk) begin
if (reset) begin
count <= 0;
end else begin
count <= count + 1;
end
end
What does the following SystemVerilog code snippet achieve?
always_ff @(posedge clk or posedge reset) begin
if (reset) begin
state <= 0;
end else begin
state <= state + 1;
end
end